Trade Resources Industry Views Imec Has Grown to Be a Multi-Disciplinary Expertise Center

Imec Has Grown to Be a Multi-Disciplinary Expertise Center

Last week nanotechnology research institute imec of Leuven, Belgium celebrated its 30th anniversary. Founded in 1984 as a non-profit organization, imec has grown to be a multi-disciplinary expertise center in the fields of semiconductor chips and systems, electronics for life sciences, body area networks, energy, photovoltaics, sustainable wireless communication, image sensors and vision systems, and flexible electronics and displays.

Through collaborations with partners from universities, research institutes and companies, imec says that it has created solutions and developed emerging technology for a sustainable environment.

In the domain of semiconductor technologies, imec says that it has enabled advances in global semiconductor chip manufacturing over three decades. At the forefront in advancing immersion lithography, extreme ultraviolet lithography (EUV) and double patterning, imec has driven lithography as a key solution to overcome challenges in scaling down feature size in silicon chips. In 2013, imec and lithography system maker ASML of Veldhoven, The Netherlands broadened their partnership with the launch of a Patterning Center. When complete, this will offer the most advanced patterning knowledge for sub-10nm technologies, it is claimed, crucial to addressing future scaling and infrastructure challenges. The center will be extended through partnerships with other suppliers into a ‘Suppliers Hub’ in order to collaborate on the development of next-generation process technology solutions.

Launched in 2003, imec’s research platform addressed the needs of the semiconductor value chain during the crucial transition from 200mm to 300mm silicon wafers as a manufacturing standard. The platform allows companies to collaborate on advanced process module and device research, targeting technology generations two to three nodes ahead of state-of-the-art IC production. This initiative has now evolved into a collaboration platform with global industry leaders such as Intel, Samsung, TSMC, GLOBALFOUNDRIES, Micron, SK Hynix, Toshiba, SanDisk, Panasonic, Sony, Qualcomm, Altera, Fujitsu, nVidia and Xilinx driving semiconductor industry innovation.

Imec’s main achievements in semiconductor process technology research include:

Development of sub-22nm process technologies: From silicides to copper (Cu) to the introduction of low-k and high-k/metal gates, imec’s R&D has explored techniques to overcome interconnect metallization issues. In 2013, imec demonstrated the first 3D compound semiconductor FinFET. By integrating III-V and silicon materials on the same 300mm silicon wafer through a unique silicon fin replacement process, imec demonstrated progress toward continued CMOS scaling at 7nm and below, enabling future hybrid CMOS-RF and CMOS-optoelectronics. Contributions to manufacturability and circuit performance of advanced devices: Imec’s cleaning expertise has resulted in wafer cleaning solutions with high particle removal efficiency and minimal chemical use. The Rotagoni cleaning method (developed in 2001) solved the challenges faced by single-wafer wet cleaning. Also, imec pioneered research on 3D ICs as a potential road to build more complex, powerful and cost-effective electronic systems, combining different types of functionalities on an ever smaller footprint. In 2008, imec demonstrated the first 3D ICs.

Imec says that its innovation in nanoelectronics has been a driver for developments in other domains including healthcare, energy, photovoltaics, communications, and mobility, where it has applied its semiconductor technology expertise. In 2013, imec’s life science research gained momentum by forging new R&D collaborations with Johns Hopkins University, Janssen Pharmaceutica, Pacific Biosciences, Panasonic, JSR, and others. Such collaborations are expected to lead to breakthroughs in healthcare, via the development of the next generation of ‘lab on chip’ concepts, powerful supercomputers for life sciences research, and sensor array tools to advance neuroscience research.

“It’s our ambition to further position imec as a unique innovation hub for Europe and the world, where disruptive technology ideas are generated and come to fruition,” says president & CEO Luc Van den hove. “We welcome scientists, researchers and engineers from companies of various fields to collaborate with us as they advance and tune their innovations,” he adds.

Source: http://www.semiconductor-today.com/news_items/2014/JAN/IMEC_200114.shtml
Contribute Copyright Policy
Imec Celebrates 30th Anniversary